单项选择题
选出对于有下划线语句解释正确的释义( )
Library ieee;
Use ieee.std_logic_1164.all; 定义元件库
entity qk_11 is
port( a,b,c,d,en:in std_logic;
s:in std_logic_vector(1 downto 0);
op:out std_logic );
end qk_11;
architecture ar_1 of qk_11 is
signal f:std_logic_vector(2 downto 0);
begin
f<=en&s;
process (f)
begin
case f is
when"100"=>op<=a;
when"101"=>op<=b;
when"110"=>op<=c;
when others=>op<=d;
end case;
end process;
end ar_1;
A、将输入信号d 赋值给 op
B、敏感信号f的变化将启动进程 process
C、输入信号s是两位的输入总线
D、将输入信号en和s连接赋值给f
点击查看答案
